gruebs

Forum Replies Created

Viewing 2 posts - 1 through 2 (of 2 total)
  • Author
    Posts
  • in reply to: PATH variable expansion when calling custom step #32628
    gruebs
    Participant

    I determined the same problem with the path variable (Windows 10, Visual Studio Pro 2019 16.11.14; VisualGDB 5.6R5 build 4569).

    The follwing batch script will print a not expanded path variable. Seems to be a mistake by adding the compiler bin path to the path variable.

    <span style=”text-decoration: underline;”>batch script:</span>
    echo path:
    PATH

    <span style=”text-decoration: underline;”>output:</span>
    path:
    PATH=%PATH%;c:\sysgcc\arm-eabi/bin

    gruebs
    Participant

    Hello,

    I have the same problem after changing the intermediate directory. I double-checked the variable $(IntDir) and it has a trailing backslash.

    Following change on General->Intermediate Directory:

    old: $(Platform)\$(Configuration)\

    new: $(SolutionDir)$(Platform)\$(Configuration)\

    checking variable $(IntDir) for both changes:

    old: VisualGDB\Debug\

    new: E:\GIT\MCF_InDev\MCF\Target\TEST_STM32F303_xE\TST_ProDevisDevelopment\Firmware\TST_ProDevisDevelopment_STM32F303RETx\VisualGDB\Debug\

    The *.vcproj file holds the following lines:
    <PropertyGroup Condition=”‘$(Configuration)|$(Platform)’==’Debug|VisualGDB'”>
    <GNUConfigurationType>Debug</GNUConfigurationType>
    <ToolchainID>com.visualgdb.arm-eabi</ToolchainID>
    <ToolchainVersion>7.2.0/8.0.1/r2</ToolchainVersion>
    <GNUTargetType>StaticLibrary</GNUTargetType>
    <IntDir>$(SolutionDir)$(Platform)\$(Configuration)\</IntDir>
    </PropertyGroup>
    Compiling a single file, results in following output:
    1>—— Erstellen gestartet: Projekt: HAL_BASE_STM32F303_xE_VS, Konfiguration: Debug VisualGDB ——
    1> startup_stm32f303xe.c
    1> Assembler messages:
    1>GCCCOMPILE : Fatal error : can’t create ../../../../Target/TEST_STM32F303_xE/TST_ProDevisDevelopment/Firmware/TST_ProDevisDevelopment_STM32F303RETx/VisualGDB/Debug: Permission denied
    ========== Erstellen: 0 erfolgreich, 1 fehlerhaft, 0 aktuell, 0 übersprungen ==========
    It seems, that there is something wrong on creating the relative path.

    Thanks

    Stefan

    • This reply was modified 6 years ago by gruebs.
Viewing 2 posts - 1 through 2 (of 2 total)