HAL
IS_TIM_TRIGGERPRESCALER is only used within HAL.
 
Symbols
loading...
Files
loading...

IS_TIM_TRIGGERPRESCALER macro

Syntax

#define IS_TIM_TRIGGERPRESCALER(__PRESCALER__) (((__PRESCALER__) == TIM_TRIGGERPRESCALER_DIV1) || \     ((__PRESCALER__) == TIM_TRIGGERPRESCALER_DIV2) || \     ((__PRESCALER__) == TIM_TRIGGERPRESCALER_DIV4) || \     ((__PRESCALER__) == TIM_TRIGGERPRESCALER_DIV8))

Arguments

__PRESCALER__

References

LocationText
stm32f4xx_hal_tim.h:1769
#define IS_TIM_TRIGGERPRESCALER(__PRESCALER__) (((__PRESCALER__) == TIM_TRIGGERPRESCALER_DIV1) || \
stm32f4xx_hal_tim.c:7151
assert_param(IS_TIM_TRIGGERPRESCALER(sSlaveConfig->TriggerPrescaler));